JK-Flip Flop에서 J입력과 K입력이 모두 1이고 CP=1일 때 출력은?

팝업레이어 알림

e881cda2338fe2f54e482e9f188f7c72_1682930450_1093.jpg


ae9bf1983599c20a98079b6bcc492e88_1716346166_1083.png

홈 > 학습하기 > 정보통신기사
정보통신기사

JK-Flip Flop에서 J입력과 K입력이 모두 1이고 CP=1일 때 출력은?

속성암기 모드 0 정답률 : -

JK-Flip Flop에서 J입력과 K입력이 모두 1이고 CP=1일 때 출력은?

출력은 반전한다.

Set 출력은 1, Reset 출력은 0이다.

Set 출력은 0, Reset 출력은 1이다.

출력은 1이다.

,

0 Comments